国产精品尹人在线观看免费-国产精品尤物-国产精品又黄又爽又色视频-国产精品原创巨作av-青青久久国产-青青久久精品

蘇州東偉元電子有限公司

咨詢電話:

13913158248

當前位置:

首頁  >  技術文章  >  泰克MSO5054B混合信號示波器技術說明書

泰克MSO5054B混合信號示波器技術說明書

更新時間:2014-06-28        閱讀量:3475

示波器概況

 

MSO5034B

DPO5034B

MSO5054B

DPO5054B

MSO5104B

DPO5104B

MSO5204B

DPO5204B

輸入通道
帶寬350 MHz500 MHz1 GHz2 GHz
計算的上升時間1 ns700 ps350 ps175 ps
DC 增益精度±1.5%,高于 30°C 時按 0.10%/°C 降額
帶寬限制視儀器型號而定: 1 GHz、500 MHz、350 MHz、250 MHz 和 20 MHz
有效位數(典型值)6 位(儀器帶寬時 10 divp-p 正弦波輸入,100 mV/div,50 Ω 輸入阻抗,zui大采樣速率,1k 點記錄長度)
隨機噪聲(RMS,典型值,采樣模式,全部帶寬)
 1 MΩ≤(130 μV + V/div 設置值的 8.0%)≤(130 μV + V/div 設置值的 8.0%)≤(150 μV + V/div 設置值的 8.0%)≤(180 μV + V/div 設置值的 8.0%)
 50 Ω≤(130 μV + V/div 設置值的 8.0%)≤(130 μV + V/div 設置值的 8.0%)≤(75 μV + V/div 設置值的 6.0%)≤(150 μV + V/div 設置值的 6.0%)
zui大采樣率(所有通道)5 GS/s5 GS/s5 GS/s5 GS/s
zui大采樣率(1 條或 2 條通道)10 GS/s10 GS/s
zui大等效時間采樣率400 GS/s
標配zui大記錄長度25 M25M(4 通道) 
50M(1 通道或 2 通道)
選配選項 5RL 時zui大記錄長度50 M50M(4 通道) 
125M(1 通道或 2 通道)
選配 10RL 時zui大記錄長度125 M125M(4 通道) 
250M(1 通道或 2 通道)

垂直系統模擬通道

輸入耦合

AC、DC

輸入電阻

1 MΩ ±1%、50 Ω ±1%

輸入靈敏度范圍

1 MΩ: 1 mV/div - 10 V/div

50 Ω: 1 mV/div - 1 V/div

垂直分辨率

8 位(高分辨率時 >11 位)

zui大輸入電壓,1 MΩ

300 VRMS CAT II,峰值 ≤ ±425 V

對 <100 mV/div,在 100 kHz 以上時額定值以 20 dB/decade 下降到 1 MHz 時的30 VRMS,在 1 MHz 以上時,額定值以 10 dB/decade 下降

對 ≥100 mV/div,在 3 MHz 以上時額定值以 20 dB/decade 下降到 30 MHz 時的 30 VRMS,在 30 MHz 以上時以 10 dB/decade 下降

zui大輸入電壓,50 Ω

5 VRMS,峰值小于 ≤ ±20 V

位置范圍

±5 格

任意兩條通道之間的延遲(典型值)

≤100 ps(50 Ω,DC 耦合,10 mV/div 及以上時相等的 V/div)

偏置范圍

1 mV/div - 50 mV/div

1 MΩ: ±1 V

50 Ω: ±1 V

50.5 mV/div - 99.5 mV/div

1 MΩ: ±0.5 V

50 Ω: ±0.5 V

100 mV/div - 500 mV/div

1 MΩ: ±10 V

50 Ω: ±10 V

505 mV/div - 995 mV/div

1 MΩ: ±5 V

50 Ω: ±5 V

1 V/div - 5 V/div

1 MΩ: ±100 V

50 Ω: ±5 V

5.05 V/div - 10 V/div

1 MΩ: ±50 V

50 Ω: 不適用

偏置精度

±(0.005 × |偏置 – 位置| + DC 均衡)

注: 位置和常數偏置項必須乘以相應的 Volts/div 項,轉換成伏特

通道到通道隔離度(任何兩條垂直標度相等的通道)(典型值)

在 ≤100 MHz 時 ≥ 100:1,>100 MHz 到額定帶寬時 ≥ 30:1 

垂直系統數字通道

輸入通道

16 條數字通道 (D15 - D0)

門限

每條通道單獨設置門限

門限選擇

TTL、ECL、User

用戶自定義門限范圍

±40 V

門限精度

±(100 mV + 3% 的門限設置)

zui大輸入電壓

±42 Vpeak

輸入動態范圍

30 Vp-p ≤200 MHz

10 Vp-p >200 MHz

zui小電壓擺幅

400 mV

輸入阻抗

100 kΩ

探頭負載

3 pF

垂直分辨率

1 位

水平系統模擬通道

zui高實時采樣率時的zui大時長

25 ms

時基范圍

12.5 ps/div - 1000 s/div

時間分辨率(在 ET/IT 模式下)

2.5 ps/div

時基延遲時間范圍

–10 格到 1000 s

通道間偏移校正范圍

±75 ns

時基精度

在任意 ≥1 ms 間隔上 ±5 ppm

水平系統數字通道

zui大采樣率(主時基)

500 MS/s(2 ns 分辨率)

zui大記錄長度(主時基)

標配 25M

選配記錄長度選項時zui高 40M

zui大采樣率 (MagniVu)

16.5 GS/s(60.6 ps 分辨率)

zui大記錄長度 (MagniVu)

10k 點,以觸發點為中心

zui小可檢測脈寬

1 ns

通道到通道偏移(典型值)

200 ps

zui大輸入撥碼速率

zui小輸入擺幅時 500 MHz,在更高的幅度時可以實現更高的撥碼速率

觸發系統

主要觸發模式

自動觸發、正常觸發和單次觸發

觸發耦合

DC、AC、高頻抑制(衰減 >50 kHz)、低頻抑制(衰減 <50 kHz)、噪聲抑制(降低靈敏度)

觸發釋抑范圍

250 ns – 8 s

增強觸發

用戶可以選擇;校正觸發路徑與采集數據之間的定時差(在 FastAcq 中未提供)

觸發抖動

使用增強觸發時 ≤100 fsRMS

沒有增強觸發、在 Fast Acq 模式下 ≤10 psRMS

非邊沿類型觸發模式下 ≤100 psRMS

觸發靈敏度

內部 DC 耦合

對 1 MΩ: 1 mV/div - 4.98 mV/div: DC - 50 MHz 時為 0.75 div,在額定帶寬處提高到 1.3 div。≥5 mV/div: DC - 50 MHz 時為 0.4 div,在額定帶寬處提高到 1 div

對 50 Ω(MSO5204、DPO5204、MSO5104、DPO5104): DC - 50 MHz 時為 0.4 div,在額定帶寬處提高到 1 div

對 50 Ω(MSO5054、DPO5054、MSO5034、DPO5034): 1 mV/div - 4.98 mV/div: DC - 50 MHz 時為 0.75 div,在額定帶寬處提高到 1.3 div;≥5 mV/div: DC - 50 MHz 時為 0.4 div,在額定帶寬處提高到 1 div

外部(輔助輸入)1 MΩ

DC - 50 MHz 時為 200 mV,在 250 MHz 時提高到 500 mV

觸發電平范圍

任意通道

距屏幕中心 ±8 格

外部(輔助輸入)

±8 V

工頻

固定在工頻電壓的大約 50%

觸發模式

邊沿

觸發任何通道或前面板輔助輸入上的正斜率或負斜率。耦合包括 DC、AC、高頻抑制、低頻抑制和噪聲抑制

毛刺

觸發或抑制正極、負極或任意極性的毛刺。可編程毛刺寬度為zui小 4 ns到zui大 8 s

欠幅脈沖

當一個脈沖跨過一個門限但在再次跨過*個門限前未能跨過第二個門*觸發

觸發落在可選擇極限范圍內或范圍外 (4 ns – 8 s) 的正脈寬或負脈寬

超時

觸發時間周期內保持高、低或任意的事件 (4 ns – 8 s)

斜率

在脈沖邊沿變化速率快于或慢于速率時觸發。斜率可以為正、負或正負

建立時間/保持時間

當任意兩條輸入通道中存在的時鐘和數據之間的建立時間和保持時間超過門*觸發

碼型

在信號的任何邏輯碼型變成假或在時間周期內保持為真 (4 ns – 1 s) 時觸發事件。為所有模擬和數字輸入通道的碼型(AND、OR、NAND、NOR)定義為高、低或無所謂

并行總線

觸發規定的并行總線上的數據

狀態

模擬通道和數字通道的任意邏輯碼型(MSO 型號)由另一條通道上的邊沿提供時鐘輸入。觸發上升或下降時鐘邊沿

視頻

在 NTSC、PAL、SECAM 以及 HDTV 480p/60、576p/50、875i/60、720p/30、720p/50、720p/60、1080/24sF、1080i/50、1080p/25、1080i/60、1080p/24、1080p/25、1080p/50、1080p/60、雙電平和三電平的所有行、特定行號、奇數場、偶數場或所有場上觸發

觸發順序

主時基、時間延遲、事件延遲。所有順序都可以包括觸發事件后單獨的水平延遲,以在時間上定位采集窗口

A/B 順序事件觸發類型

邊沿

觸發時間延遲

4 ns – 8 s

觸發事件延遲

1 – 4,000,000 個事件

可視觸發

在任意模擬通道上觸發zui多 8 個用戶自定義區域,包括長方形、三角形、梯形、六邊形和用戶自定義形狀

I2C(選配)

作為選項SR-EMBD 的一部分提供。在高達 10 Mb/s 的 I2C 總線上的開始、重復開始、停止、未確認、地址(7 位或 10 位)、數據或地址和數據上觸發采集

SPI(選配)

作為選項SR-EMBD 的一部分提供。在高達 10 Mb/s 的 SPI 總線的 Slave Select、Idle Time 或 Data(1-16 個字)上觸發采集

CAN(選配)

作為選項SR-AUTO 的一部分提供。在高達 1 Mb/s 的 CAN 總線上觸發幀頭、幀類型(數據幀、遠程幀、錯誤幀或過載幀)、標識符、數據、標識符和數據、EOF、未確認、位填充錯誤、CRC 錯誤

LIN(選配)

作為選項SR-AUTO 的一部分提供。在高達 1 Mb/s 的 LIN 總線上觸發同步、標識符、數據、標識符和數據、喚醒幀、睡眠幀、錯誤

FlexRay(選配)

作為選項SR-AUTO 的一部分提供。在高達 10 Mb/s 的 FlexRay 總線上觸發指示符位(正常、凈荷、空、同步、啟動)、周期數、包頭字段(指示符位、標識符、凈荷長度、包頭 CRC 和周期數)、標識符、數據、標識符和數據、幀尾、錯誤

MIL-STD-1553(選配)

作為選項SR-AERO 的一部分提供。在高達 1 Mb/s 的 MIL-STD-1553 總線上觸發同步、命令字、狀態字、數據字、空閑時間、錯誤

以太網(可選)

作為選項SR-ENET 的一部分提供。在 10BASE-T 和 100BASE-TX 總線的包頭、MAC 地址、MAC Q 標簽、MAC 長度/類型、MAC 數據、IP 包頭、TCP 包頭、

TCP/IPV4 數據、包尾和 FCS(CRC) 錯誤上觸發。

RS-232/422/485/UART(選配)

作為選項SR-COMP 的一部分提供。觸發高達 10 Mb/s 的開始位、包尾、數據和奇偶性錯誤

USB 2.0 低速:(選配)

作為選項SR-USB 的一部分提供。

觸發同步、復位、暫停、恢復、包尾、令牌(地址)包、數據包、握手包、包、錯誤。

令牌包觸發 – 任意令牌類型、SOF、OUT、IN、SETUP;地址可以為 Any Token、OUT、IN 和 SETUP 令牌類型。地址可以進一步為觸發 ≤、<、=、>、≥、!= 特定值,或在落入范圍或超出范圍時觸發。可以使用二進制、十六進制、不帶符號的十進制及無所謂位為 SOF 令牌幀號。

數據包觸發 – 任意數據類型,DATA0、DATA1;數據可以進一步觸發 ≤、<、=、>、≥、!= 特定數據值,或在落入范圍或超出范圍時觸發。

握手包觸發 – 任意握手類型,ACK、NAK、STALL。

包觸發 – 任意包類型、保留包。

錯誤觸發 – PID校驗、CRC5或CRC16、填充位。

USB 2.0 全速:(選配)

作為選項SR-USB 的一部分提供。

觸發同步、復位、暫停、恢復、包尾、令牌(地址)包、數據包、握手包、包、錯誤。

令牌包觸發 – 任意令牌類型、SOF、OUT、IN、SETUP;地址可以為 Any Token、OUT、IN 和 SETUP 令牌類型。地址可以進一步為觸發 ≤、<、=、>、≥、!= 特定值,或在落入范圍或超出范圍時觸發。可以使用二進制、十六進制、不帶符號的十進制及無所謂位為 SOF 令牌幀號。

數據包觸發 – 任意數據類型,DATA0、DATA1;數據可以進一步觸發 ≤、<、=、>、≥、!= 特定數據值,或在落入范圍或超出范圍時觸發。

握手包觸發 – 任意握手類型,ACK、NAK、STALL。

用包觸發 – 任意包類型、PRE、保留包。

錯誤觸發 – PID校驗、CRC5或CRC16、填充位。

USB 2.0 高速:(選配)

作為選項SR-USB 的一部分提供。

觸發同步、復位、暫停、恢復、包尾、令牌(地址)包、數據包、握手包、包、錯誤。

令牌包觸發 – 任意令牌類型、SOF、OUT、IN、SETUP;地址可以為 Any Token、OUT、IN 和 SETUP 令牌類型。地址可以進一步為觸發 ≤、<、=、>、≥、!= 特定值,或在落入范圍或超出范圍時觸發。可以使用二進制、十六進制、不帶符號的十進制及無所謂位為 SOF 令牌幀號。

數據包觸發 – 任意數據類型、DATA0、DATA1;數據可以進一步觸發 ≤、<、=、>、≥、!= 特定數據值,或在落入范圍或超出范圍時觸發。

握手包觸發 - 任何握手類型、ACK、NAK、STALL、NYET。

包觸發 – 任意包類型、ERR、SPLIT、PING、保留包。可以的 SPLIT 包成分包括:

集線器地址

開始/結束 – 無所謂、開始 (SSPLIT)、結束 (CSPLIT) 端口地址

開始位和結束位 – 無所謂、控制/批量/中斷(全速設備、低速設備)、同步(數據在中間、數據在末尾、數據在開頭、數據是全部)

端點類型 – 無所謂、控制、同步、批量、中斷

錯誤觸發 – PID 校驗、CRC5 或 CRC16、任意錯誤。

注:只有 1 GHz 和 2 GHz 型號才支持 USB 2.0 高速觸發、解碼和搜索。

采集系統

采集模式

采樣

采集采樣值

峰值檢測

在所有實時采樣率下捕獲窄至 100 ps(2 GHz 和 1 GHz 型號)或 200 ps(500 MHz 和 350 MHz 型號)的窄毛刺

平均

平均操作中可以包括 2 到 10000 個波形

包絡

zui小-zui大包絡中體現了多次采集中的峰值檢測數據

Hi-Res

實時波形平均功能,降低隨機噪聲,提高分辨率

滾動模式

在小于等于 50 ms/div 的掃描速度時,在屏幕中從右到左滾動波形。高達 20 MS/s,zui大記錄長度 10M

FastAcq™

FastAcq 優化儀器,分析動態信號,捕獲偶發事件

zui大 FastAcq 波形捕獲速率

所有 4 條通道上同時實現 >250,000 wfms/s

波形數據庫

累積波形數據庫,提供由幅度、時間和數量組成的三維陣列

FastFrame™

采集存儲器分成多個段;zui大觸發速率 >310,000 個波形/秒。 每個事件記錄到達時間。 幀查找程序幫助以可視方式識別瞬態信號

自動搜索和標記

自動標記事件,編制波形文件。 搜索正/負斜率或兩者、毛刺、脈寬、轉換速率、建立時間和保持時間、超時、窗口,或查找任意邏輯或狀態碼型,在任意 4 條模擬通道上支持zui多 8 種不同的事件類型。 使用選項 DDRA 搜索 DDR 讀或寫突發。事件表匯總所有找到的事件。 所有時間都相對于觸發位置打上時戳。 當找到事件時會停止采集

波形分析

波形測量

光標

波形和屏幕

自動測量

53 種,可隨時在屏幕上顯示其中 8 種。 測量包括: 周期、頻率、延遲、上升時間、下降時間、正占空比、負占空比、正寬度、負寬度、突發脈沖寬度、相位、正過沖、負過沖、峰峰值、幅度、高、低、zui大值、zui小值、平均值、周期平均值、RMS、周期 RMS、面積和周期面積

眼圖測量

消光比(值,%,dB)、眼高、眼寬、眼頂、眼底、交叉%、抖動(p-p,RMS,6sigma)、噪聲(p-p,RMS)、信噪比、周期失真、Q 因數

測量統計

平均值、zui小值、zui大值、標準偏差

參考電平

可以用百分比或單位用戶可定義的自動測量參考電平

選通

使用屏幕或波形光標隔離要測量的采集內部發生的特定情況

波形直方圖

波形直方圖提供了一個數據值陣列,表示落入用戶自定義顯示區域內的總點數。 波形直方圖是可以測量的點分布及數值陣列的可視圖表。 來源 – Channel 1、Channel 2、Channel 3、Channel 4、Ref 1、Ref 2、Ref 3、Ref 4、Math 1、Math 2、Math 3、Math 4 

類型 – 垂直、水平

波形直方圖測量

波形數、框內點數、峰值點數、中間值、zui大值、zui小值、峰峰值、平均值 (μ)、標準偏差 (sigma)、μ+1sigma、μ+2sigma、μ+3sigma

波形處理/數學運算

代數運算

加、減、乘、除波形和標量

代數表達式

定義廣泛的代數表達式,包括波形、標量、用戶可調節變量和參數測量結果, 使用復雜的公式進行綜合數學運算,如 (求積分(通道1-中間值(通道1)) x 1.414 x VAR1)。

數學函數

平均、倒數、積分、微分、平方根、指數、Log10、Loge、值、升根、基底、zui小、zui大、Sin、Cos、Tan、ASin、ACos、ATan、Sinh、Cosh、Tanh

關系運算

>、<、≥、≤、==、!= 比較布爾運算結果

頻域函數 (FFT)

頻譜幅度和相位,實數和虛數頻譜

FFT 垂直單位

幅度: 線性、dB、dBm

相位: 度、弧度、群時延

FFT 窗口函數

矩形、Hamming、Hanning、Kaiser-Bessel、Blackman-Harris、Gaussian、Flattop2、Tek Exponential

波形定義

任意數學表達式

濾波功能

用戶自定義濾波器。 用戶一個包含濾波系數的濾波器。 提供了濾波器文件

自定義數學函數

數學波形定義中可以包括自定義 MATLAB 和 .NET 插件

模板功能

從采樣波形中生成波形數據庫像素圖的功能。 可以定義樣點數量

軟件

IVI Driver

為常用應用提供標準儀器編程接口,如 LabVIEW、LabWindows/CVI、Microsoft .NET 和 MATLAB、 IVI-COM 標準

LXI Class C 網絡界面

通過標準網絡瀏覽器連接 MSO/DPO5000B 系列,您只需在瀏覽器的地址條中輸入示波器的IP地址或網絡名稱, 網絡界面可以查看儀器狀態和配置以及網絡設置的狀態和修改情況。 所有網絡交互都滿足 LXI Class C 規范

顯示器系統

顯示器類型

10.4 英寸 (264 mm) 液晶活動矩陣彩色顯示器,帶觸摸屏

顯示器分辨率

1024 水平 × 768 垂直像素 (XGA)

波形類型

矢量、點、可變余暉、無限余輝

調色板

正常、綠色、灰色、溫度、頻譜和用戶自定義

顯示器格式

YT、XY

計算機系統

操作系統

Windows 7 Ultimate 64 位

儀器運行經檢驗滿足美國標準技術學會 (NIST) DSS 1.1 版基準要求,又稱美國政府配置基準 (USGCB)

CPU

In Core 2 Duo,≥2 GHz 處理器

PC 系統內存

≥4 GB

固態硬盤

可移動固態硬盤,≥480 GB

鼠標

光學滾輪鼠標,USB 接口

鍵盤

小型鍵盤訂購 119-7083-xx;USB 接口和集線器

輸入輸出端口

USB 2.0 高速主控端口

支持 USB 海量存儲設備、打印機、鍵盤和鼠標。 儀器前面板有兩個端口,后面板有四個端口。 可以單獨使各個端口失效

USB 1.1 全速端口

后面板連接器可以使用 USBTMC 或 GPIB(使用 TEK-USB-488 適配器)與示波器通信或控制示波器

LAN 端口

RJ-45 連接器,支持 10/100/1000BASE-T

視頻輸出端口

DB-15 孔式連接器,用于將示波器顯示內容顯示到外部監視器或投影儀上。 支持擴展桌面和克隆模式

音頻端口

微型聲音插孔

鍵盤端口

兼容 PS/2 

鼠標端口

兼容 PS/2 

輔助輸入

前面板 BNC 連接器。 輸入阻抗 1 MΩ。 zui大輸入 300 VRMS,峰值 ≤ ±425 V

輔助輸出(可以通過軟件切換)

觸發輸出: 在示波器觸發時,TTL 兼容脈沖

時基參考輸出: 內部 10 MHz 參考振蕩器的 TTL 兼容輸出

外部參考輸入

時基系統可以鎖相到外部 10 MHz 參考源 (10 MHz?1%)

探頭補償器輸出

前面板引腳

幅度: 2.5 V

頻率: 1 kHz

LAN eXtensions for Instrumentation (LXI)

類別: LXI Class C

版本: 1.3 

選配的 TekVPI® 外部電源

在示波器探頭總功率超過 15W 時要求使用外部電源。

輸出電壓

12 V

輸出電流

5 A

能耗

50 W

電源

電源電壓

100 - 240 V ±10%

電源頻率

45 Hz - 66 Hz (85 - 264 V)

360 Hz - 440 Hz (100 - 132 V)

功耗

zui高 275 W

物理特點

外觀尺寸

 毫米英寸
233 9.16 
439 17.29 
206 8.12 

重量

 公斤
凈重6.7 14.9 
毛重12.5 27.5 

機架安裝配置

5U

冷卻間隙

 英寸毫米
頂部
底部
左側51 
右側
正面
后面51 

EMC、環境和安全

溫度

工作溫度

0 °C - +50 °C

非工作溫度

–20 °C - +60 °C

濕度

工作濕度

8% - 90% 相對濕度,zui大濕球溫度 29 °C、+50 °C 及以下(+50 °C 時相對濕度上限額定值下降到 20.6%)。 無冷凝

非工作濕度

5% - 98% 相對濕度,zui大濕球溫度 40°C、+60 °C 及以下(+60 °C 時相對濕度上限額定值下降到 29.8%)。 無冷凝

高度

工作高度

3,000 米(9,843 英尺)

非工作高度

9,144 米(30,000 英尺)

法規標準

電磁兼容能力

2004/108/EC

安全

UL61010-1;CSA61010-1、EN61010-1;IEC 61010-1 

版權所有©2024 蘇州東偉元電子有限公司  備案號:蘇ICP備13024284號-1

技術支持:化工儀器網  管理登陸  sitemap.xml

主站蜘蛛池模板: 亚洲视屏| 青草视频网| 网红毛片| 三级影片在线观看| 午夜影院免费视频| 亚洲欧美中日韩中文字幕| 台湾佬自偷自拍情侣在线| 特级毛片免费视频观看| 欧美日韩福利视频| 人妖欧美一区二区三区四区| 日韩欧美二区| 亚洲免费看片| 青青青国产在线观看| 日本福利视频| 日本免费黄网站| 亚洲a级| 欧美操| 亚洲精品有码在线观看| 亚洲综合色就色手机在线观看| 欧美一级大片在线观看| 欧美一区二区三区高清视频| 亚洲日本中文字幕在线| 青草青青视频| 亚洲不卡在线| 欧美黄色片视频| 日韩在线不卡| 思思久久好好热精品国产| 亚洲看片| 亚洲高清色| 色吊丝最新永久免费观看网站| 一本色道久久综合狠狠躁篇| 亚洲精品一二三区| 亚洲精品在线第一页| 午夜视频免费| 人人澡人人搞| 色片免费在线观看| 一级二级三级黄色片| 天天干网| 奇米影视国产精品四色| 亚洲综合偷自成人网第页| 四虎国产精品永免费|